Motorola 6800 verilog vhdl jobs

Filter

My recent searches
Filter by:
Budget
to
to
to
Type
Skills
Languages
    Job State
    2,000 motorola 6800 verilog vhdl jobs found, pricing in USD

    We have an existing VHDL project that implements various memory protocols that runs on a Lattice FPGA (MachXO2 LCMXO2-4000HC). The FPGA is used as a high-speed data bridge between an ARM based microcontroller and a connected memory device (using SMC). This project is to expand the existing project and add support for the eMMC protocol and eMMC Flash memory devices. For testing, we have acquired various 64GB devices that are available on Mouser and DigiKey. Basic functionality is required: reading/writing/erasing with legacy speeds and 1,4,8-bit data bus. Project can be extended to include additional modes / features. The project is in Lattice Diamond, and written in VHDL. All work must be done to the existing project. Note: if you want to make a bid, please respond with yo...

    $4125 (Avg Bid)
    NDA
    $4125 Avg Bid
    4 bids

    As an FPGA expert, my project demands crucial implementation and testing of FPGA-based systems, along with adept ...transferred and received properly coz its serial data. if the data received equal to certain vaule ( the value i serialized before sending ) then LED is on, or use a method to display the received data. I am also forwarding 2 clocks that i need to receive at the same frequency they were set in the input. Critical Skills - Proficiency in Verilog - Prevailing experience with Xilinx FPGAs Ideal freelancers will possess substantial experience using Verilog for FPGA programming and have a strong background in Xilinx products. Solid debugging skills and the ability to devise efficient tests for FPGA-based systems are a must. ALL CODES ARE DONE, I JUST NEED SOMEONE...

    $57 (Avg Bid)
    $57 Avg Bid
    7 bids

    ...the specifications and performance targets for the graphics card, considering factors such as core count, memory bandwidth, and power efficiency. Designing and modeling the GPU architecture using computer-aided design (CAD) software, incorporating advanced features for rendering, compute, and artificial intelligence. Implementing the design using hardware description languages (HDLs) such as Verilog or VHDL, and simulating the functionality using specialized tools. Conducting rigorous testing, validation, and optimization to ensure the graphics card meets performance, reliability, and compatibility standards. Iterating on the design based on feedback, performance analysis, and emerging technologies. **Power Efficiency:** - My priority is an energy-saving design. The chall...

    $56405 (Avg Bid)
    $56405 Avg Bid
    9 bids

    ...project. - Familiarity with high-speed interface protocols and their integration into FPGA designs. **Ideal Skills and Experience:** - Strong background in electrical engineering or computer science, with a focus on hardware design. - Prior projects or experience in FPGA-based design, especially those involving DSP or video processing. - Proficient in C/C++ for algorithm development and HDL (VHDL/Verilog) for hardware description. - Knowledge of optimization techniques for power efficiency and performance maximization in FPGA designs. - Ability to work with simulation tools and perform thorough validation and testing of the designed hardware. My project calls for an innovative and technically skilled freelancer who can navigate the complexities of advanced FPGA design. I...

    $15 / hr (Avg Bid)
    $15 / hr Avg Bid
    9 bids

    I am seeking a talented programmer to develop an encryption algorithm using Verilog language, which will be implemented using FPGA in Xilinx. Key Requirements: - Comprehensive understanding of Verilog programming and encryption algorithms - Extensive experience in FPGA implementation - Proficiency in Xilinx The ideal candidate should be capable of creating an efficient and secure encryption system from scratch. Your algorithm will be tested for security, efficiency, and performance during Evaluation. Please include examples of relevant previous work in your bid. Thank you.

    $123 (Avg Bid)
    $123 Avg Bid
    4 bids

    ...seeking a highly skilled freelancer with expertise in Verilog for an advanced system design and optimization project targeting FPGA (Field-Programmable Gate Array). This project demands someone who can navigate complex Verilog coding with ease and contribute to significant system improvements. **Core Requirements**: - Profound knowledge in Verilog coding, specifically for FPGA platforms. - Experience in advanced system design and optimization. - Capability to work through complex modules and processes to deliver optimized solutions. **Ideal Skills and Experience**: - Strong background in FPGA-based design and development. - Proficient in writing, analyzing, and optimizing Verilog code. - Familiarity with debugging and testing Verilog code in a real F...

    $86 (Avg Bid)
    $86 Avg Bid
    4 bids

    ...FPGA-based game similar to a classic crossing road game with a twist. Required Skills: - Proficient in VHDL programming - Experience with FPGA design and implementation - Familiar with character movement logic - Ability to implement a scoring system into FPGA projects Project Requirements: - Design VHDL code specifically for an FPGA target device - Develop code that allows character movement within the game - Create a scoring system to track and display the player's score -able to score to reach a target and gameover point -able to control the game using fpga or keyboard - able to connect via vga Ideal Candidate: - You should have a portfolio demonstrating previous work with FPGAs and VHDL. - Experience in game development or simulation is highly desirable...

    $146 (Avg Bid)
    $146 Avg Bid
    12 bids

    ...freelancer to implement an intermediate System on Chip (SoC) module using VHDL for an FPGA-based system. Key Responsibilities: - Develop and simulate VHDL code for an FPGA-based SoC module. - Ensure code meets functionality and performance requirements. - Provide documentation and support for testing and integration. Ideal Skills: - Proficient in VHDL programming. - Experience with FPGA design and implementation. - Familiar with SoC architecture and digital design principles. - Ability to write clean, optimized, and well-documented code. Qualifications: - Previous projects involving VHDL and FPGAs. - Understanding of intermediate SoC modules. - Good problem-solving and communication skills. Project Deliverables: - Working VHDL code as per specifica...

    $161 (Avg Bid)
    $161 Avg Bid
    7 bids

    I'm seeking a talented freelancer with expertise in FPGA development to assist in implementing high-level synthesis (HLS) algorithms on the Vitis platform. I have a solid background in HLS but need guidance with Vitis integration. Requirements: • Experience with Vitis platform and HLS technology • Proficiency in Verilog programming • Past work with image, data encryption, or signal processing algorithms. Ideal Skills: • FPGA design and development • HLS algorithm optimization for performance • Familiarity with Xilinx development tools • Ability to write clean, maintainable code Goals: • To effectively integrate HLS algorithms into a Vitis workflow • To optimize algorithm performance on an FPGA architecture I look forward to colla...

    $325 (Avg Bid)
    $325 Avg Bid
    3 bids

    I am looking for an expert in FPGA design who can help create a SmartFusion2 design with specific features. the designer shall be able to - update VHDL design to control other peripherals integrating in the current design - update the SW on the ARM Ideally, the testing function should use predefined test cases. The main focus of the testing system needs to be hardware testing. Therefore, essential skills and experience include knowledge of hardware testing techniques and familiarity with FPGA configuration, power management, and communication protocols.

    $1117 (Avg Bid)
    $1117 Avg Bid
    21 bids

    I require an experienced freelancer conversant in Verilog and familiar with Vivado tools to help expedite my digital circuit project. Efficiency and expertise are paramount to meet my project milestones. Key Tasks: - Synthesize and implement Verilog code - Optimize digital circuit designs using Vivado Skills Needed: - Proficient in Verilog - Proficient with Xilinx Vivado Suite - Strong in circuit synthesis and implementation - Ability to write clean, maintainable code - Experience with digital circuit design and simulation - Solid understanding of FPGA workflows Ideal Experience: - Previous successful FPGA projects - Proven track record with Vivado IDE - Strong debugging skills If you are a detail-oriented problem solver with the skills mentioned above and have a h...

    $92 (Avg Bid)
    $92 Avg Bid
    9 bids

    I'm looking for an experienced VHDL developer to create a traffic-themed crossing road game for FPGA, with a keen eye for detail and efficiency in design. Key Responsibilities: - Design and implement a VHDL-based FPGA system - Program player movement controls and responsive gameplay - Develop dynamic obstacle generation mechanics Skills Required: - Proficient in VHDL and FPGA programming - Strong understanding of digital design and signal processing - Experience in gaming or simulation projects preferred The successful freelancer must showcase previous FPGA projects, ideally with gaming applications. Please provide code samples or portfolio links with your proposal.

    $143 (Avg Bid)
    $143 Avg Bid
    5 bids

    I'm seeking a highly skilled Verilog engineer to design and implement an AES encryption and decryption system. This project has been initiated with the purpose of ensuring data security and as such, the successful applicant must be experienced in the field of cryptography. Proficiency in Verilog and a clear knowledge of encryption methods, particularly AES, are crucial for the success of this project. In your application, kindly provide: - Details of your past experiences related to Verilog - Any relevant project proposals you've worked on, showcasing your proficiency in developing AES systems. The deadline for completion of the project will be within one month. Please keep this timeframe in mind as you consider your application and potential schedule. I...

    $391 (Avg Bid)
    $391 Avg Bid
    8 bids

    I need a programmer skilled in developing radio communication applications. The goal for this project is to Program a Moterola two way radio to allow for scanning channels in the area. - Scanning channels: The program should efficiently scan various communication channels. To make the application user-friendly, the interface should be simple and intuitive. Even without prior experience with similar applications, users should navigate and operate it easily.

    $306 (Avg Bid)
    $306 Avg Bid
    13 bids

    ...another scan. Alternatively, if the client only wishes to purchase one item, the salesman selects the printer and initiates the print option. A connected thermal printer generates a slip containing essential information such as the barcode, salesman number, date and time, scanned SKU, price, and quantity. This information is also stored in the ADS12 database. Currently, the system relies on a Motorola Mc75 handheld device, running on CE6.5 PDA with an inbuilt scanner. However, due to the device reaching its end of life and the associated technology becoming outdated, I am exploring alternative solutions. The existing C# application necessitates Visual Studio 2008, which is also no longer supported, and requires Windows XP or Windows 2007 for creating setup files. Considering th...

    $439 (Avg Bid)
    $439 Avg Bid
    69 bids

    I'm seeking a talented individual with a strong background in VHDL and FPGA design, specifically with Altera products, who can successfully implement communication interfaces within my project. The ideal candidate will possess a deep understanding of UART protocol and be capable of integrating it with other interfaces. Requirements: - Proficiency in VHDL programming for FPGA - Experience with Altera FPGA design tools - Successful implementation of UART interfaces - Knowledge in LAN and USB communication The scope of the project includes: - Implementing a low-speed UART interface (up to 115200 bps) - Integrating UART with LAN and USB interfaces on the FPGA The right freelancer will have a strong portfolio demonstrating their expertise in FPGA interface design and commu...

    $520 (Avg Bid)
    $520 Avg Bid
    20 bids

    ...Implement 4x4 matrix and 2x2 kernel convolution. - Employ kogge stone adder for efficiency. - Integrate vedic multiplier for rapid multiplication. - Ensure system operates with integer value precision. - Target design is for an FPGA using Verilog or VHDL. **Ideal Skills** - Proficiency in FPGA programming. - Strong background in digital arithmetic circuits. - Experience with kogge stone adders and vedic multipliers. - Knowledge of image processing techniques. - Use of Shifting , multiplication and addition in performing 2d convolution - Fluent in Verilog or VHDL coding. - Ability to optimize for power, area, and speed. **Project Deliverables** - Optimized HDL code for the convolution system. - Synthesis and simulation results demonstrating performance. - Do...

    $232 (Avg Bid)
    $232 Avg Bid
    2 bids

    I'm seeking a proficient Verilog developer to implement a 2D convolution for the following image processing applications: edge detection and object recognition. • Core Requirements: - An extensive understanding of 2D Convolution and its application in edge detection and object recognition - Strong proficiency in Verilog programming language • Device specifications: - The 2D convolution algorithm needs to be coded specifically for an ASIC/FPGA device. Prior experience with designing for this platform is essential. This project demands precision, an in-depth understanding of image processing and excellent problem-solving skills. A proficiency in Verilog can significantly boost the effectiveness of the development process. Hence, expertise in ...

    $18 - $151
    $18 - $151
    0 bids

    ...an experienced Verilog developer with expertise in digital circuit design, creating testbenches, and debugging existing Verilog code. While I didn't specify any particular tasks, I encourage you to utilize your problem-solving skills to lead this project's technical aspects. To apply for this project, please include: 1. Past Work: Include examples of your previous projects, focusing on your ability to create and implement successful digital circuit designs. 2. Verilog Code Samples: Although I am interested in all aspects of your past work, I would particularly like to see examples of your work on testbench designs. Your ability to create effective and efficient testbenches will be crucial to this project. 3. Experience: Your track record and breadth of ...

    $13 (Avg Bid)
    $13 Avg Bid
    5 bids

    We have a customer with some Symbol / Motorola MC3190 portable devices that run on a legacy Windows CE 6.0 OS. While a project to renew those is currently being worked on, they have some refurbished devices that look good and work well overall, however, all the changes made are lost after the device reboots. Common solutions have already been tried (storing into the Applications folder, reinstalling from an SD card). We're looking for someone with experience on these legacy devices who can help us maintain changes after rebooting. Some devices won't keep the installed applications; others won't keep Wi-Fi settings (5GHz has to be re-enabled on every reboot). Another device is in Chinese and we haven't been able to change it to English or Spanish.

    $220 (Avg Bid)
    $220 Avg Bid
    4 bids

    For my project, I am looking for a proficient Verilog programmer to simulate the behavior of a mixed circuit. This is a hub project . there will be 4 layer of slave input . the module needs to OR the all data and forward to another level of slave.

    $421 (Avg Bid)
    $421 Avg Bid
    10 bids

    I'm looking for an expert with an in-depth understanding of digital circuits and solid experience with Verilog to help me design a digital circuit. This project aims to create a I2S input (64FS) to a 20 bit serial data output module with separate left and right output channels according to AD1862 data input specification. Key tasks include: - Design Verilog code for specific functionalities - Proactively troubleshoot and rectify any issues during the design process The ideal candidate will have: - Excellent knowledge of Verilog and its applications - Understanding and experience in designing digital circuits - Proven problem-solving skills and attention to detail Please only bid if you have the relevant skills and experience. Thanks!

    $221 (Avg Bid)
    $221 Avg Bid
    11 bids

    We have an existing VHDL project that implements various memory protocols that runs on a Lattice FPGA (MachXO2). The FPGA is used as a high-speed data bridge between an ARM based microcontroller and a memory device. This project is to expand that to add eMMC support, such as typical 64GB eMMC 5.1 devices available on Digikey/Mouser. Basic functionality is required: reading/writing/erasing with legacy speeds and 1,4,8 bit data bus. Project can be extended to include additional modes / features. Note: if you want to make a bid, please respond with your previous experience with working with eMMC devices.

    $1938 (Avg Bid)
    NDA
    $1938 Avg Bid
    13 bids

    ...consectutively per key No more then 6 number consectutively per key The private keys in the hashtable cant have any of these values in them . This will drastically reduce the scan space Skills and Experience: - Advanced level of familiarity with FPGA and bitstream development - Strong understanding of FPGA architecture and programming languages - Proficiency in programming languages such as VHDL or Verilog - Experience in designing and implementing complex FPGA systems - Knowledge of hardware design principles and methodologies Project Requirements: - Develop a Kangaroo Pollard FPGA Bitstream for personal use - Create an operating application with specific features based on my requirements - Integrate the bitstream and operating application to work seamlessly togethe...

    $880 (Avg Bid)
    $880 Avg Bid
    13 bids

    I am seeking a proficient Verilog programmer to construct a PID controller from the ground up to manage a DC motor using PWM. The controller will interface with a Sparton 6 - FPGA and a DC motor driver. The characteristics that should be managed by the PID controller are the speed and position of the motor. The ideal candidate will: - Have substantial Verilog programming experience - Be experienced with PID controller development - Have a deep familiarity with working in Xilinx ISE environment - Demonstrate a high competency in controlling motor speed and position via a PID controller Your work will be key in ensuring the optimal performance of the DC motor in its relevant application. Please only bid if you have the above-listed skills and experience and can deliver...

    $687 (Avg Bid)
    $687 Avg Bid
    12 bids

    I am looking for a professional who can devise a code in Verilog-2001 for adaptive haze removal in image processing. Requirements: - Proficiency in Verilog-2001 coding - Strong understanding of image processing algorithms - Experience with adaptive haze removal techniques - Ability to implement and optimize the code for efficient processing Please note that I have a specific image processing algorithm in mind for the haze removal and I am relying on your expertise to implement it effectively.

    $262 (Avg Bid)
    $262 Avg Bid
    4 bids

    ...and provide insightful advice on my current Verilog code. Here's what I need: Verilog Code Review: - The crux of the project is the design aspect of my Verilog code. I need a professional who can scrutinize the implementation, selecting the best practices and pointing out areas for improvement. Focus Areas: - The emphasis of this project is particularly on the implementation of design. I need you to ensure the functionality of the architecture and its alignment with the set objectives and tasks. Required Skills and Experience: - An ideal candidate should have extensive knowledge in Verilog programming and code review. - A strong understanding of digital design and implementation is critical. - Proficiency in evaluating the functionality of Verilo...

    $10 / hr (Avg Bid)
    $10 / hr Avg Bid
    9 bids

    I need a flyer AND a website banner for a New Years sale. The banner size needs to be 1920px * 450px. Nor...not use titles or pricing. I will upload an image with our contact information as well as a couple of options for our logo. I am also including the a sample flyer and banner from our Black Friday sale as well as Christmas sale. I will need this in a fully layered PSD, PNG, JPG, PDF Looking for a fast turnaround please.

    $25 (Avg Bid)
    Guaranteed
    $25
    31 entries

    I have a requirement for an expert in the Mallet Algorithm to help reduce power consumption by 30% through the development of a Verilog code for an approximate multiplier. Ideal Candidate Should: - Have expertise in the Mallet Algorithm and its implementation. - Possess deep knowledge in power optimization in coding. - Be proficient in running codes on Vivado software. - Have demonstrable experience in power reduction through code optimization. The goal here is not just to write a code, it's to creatively utilize your expertise with the Mallet Algorithm in creating a power-efficient multiplier that will noticeably cut down operation costs.

    $25 (Avg Bid)
    $25 Avg Bid
    8 bids

    ...opportunity involves the creation of a complex 32-channel logic analyzer using FPGA and providing the appropriate Verilog code. - High-Speed Data Capturing: The system should be capable of clocked data capture at 200MHz or higher. - PC Utility: The project includes the production of a suited PC Utility, specifically designed for Windows. This utility should be meticulously engineered to capture real-time data, save treasured data content, and thoroughly analyze vital capture data. - Protocol Interpretation: Essential to the utility's operation is its smooth understanding of the common protocols including UART, SPI, I2C. The ideal candidate will be proficient in FPGA programming and Verilog, with a sound background in Logic Analyzer systems. In-depth protocol un...

    $636 (Avg Bid)
    $636 Avg Bid
    32 bids

    I am in need of a freelancer who is proficient in Verilog FPGA programming, specifically using the Lattice platform. The purpose of the FPGA programming is for signal processing. Requirements: - Proficiency in Verilog FPGA programming - Experience with ICESTUDIO - Experience of Lattice ICE40 - Deep understanding of vga framebuffers and signal processing Skills and Experience: - Strong knowledge of Verilog and FPGA programming - Previous experience with ICESTUDIO - Familiarity with signal processing algorithms and techniques If you have the necessary skills and experience, please submit your proposal.

    $197 (Avg Bid)
    $197 Avg Bid
    12 bids

    i am looking for an individual who can do perform the project on Quartus in VHDL formate. We are looking for only experts.

    $172 (Avg Bid)
    $172 Avg Bid
    14 bids

    I am looking for a skilled software developer to create a...that connects FPGA cards for my temperature measurement equipment. This role involves designing a program that is compatible with the Windows operating system. To develop the connection effectively, a solid knowledge of VHDL, Spartan 3 boards and C++ programming will be required. The ideal developer should be comfortable working with a basic command line interface. The main focus is on functionality, not graphic aesthetics. To be successful, both the functionality and security of the program must be prioritized. Key essentials for the job include: - Deep knowledge of VHDL - Knowledge of Spartan 3 card. - Know C++ programming. - Experience with command line interfaces. - Ability to ensure security and error checkin...

    $20 (Avg Bid)
    Guaranteed
    $20
    2 entries

    I am looking to hire an individual who really understand this subject. should be able to solve any problems related to this subject. communicate and be able to write good programming and simulation designs.

    $184 (Avg Bid)
    $184 Avg Bid
    20 bids

    Design a TImestamp generator from PPS and a NMEA parser in VHDL

    $82 (Avg Bid)
    $82 Avg Bid
    6 bids

    Hi. Here is the project to review some Verilog code which wont synthesize properly as discussed.

    $50 / hr (Avg Bid)
    $50 / hr Avg Bid
    1 bids

    I am looking for an expert in Verilog/Quartus II I will share the details of my task in chat

    $20 (Avg Bid)
    $20 Avg Bid
    8 bids

    I am looking for a programmer to help me with my project. Programming Language: VHDL Timeframe: ASAP Skills and Experience: - Proficiency in VHDL programming language - Experience in developing and implementing VHDL designs - Familiarity with FPGA programming - Strong problem-solving skills and attention to detail - Ability to work efficiently and meet tight deadlines Project Details: - The project involves developing and implementing VHDL designs for a specific application. - The programmer will be responsible for coding, testing, and debugging the designs. - The project needs to be completed as soon as possible, so the ability to work quickly and efficiently is crucial. If you have experience in VHDL programming and are available to start immediat...

    $437 (Avg Bid)
    $437 Avg Bid
    9 bids

    I need a flyer AND a website banner for a Christmas sale. The banner size needs to be 1920px * 450px. Nor...the following. Please do not use titles or pricing. I will upload an image with our contact information as well as a couple of options for our logo. I am also including the a sample flyer and banner from our Black Friday sale. I will need this in a fully layered PSD, PNG, JPG, PDF Looking for a fast turnaround please.

    $25 (Avg Bid)
    Guaranteed
    $25
    47 entries

    Looking for Micro Project CSS expert to help change text fonts on Simplybookme site Fonts: Title: Octin College Semi-Bold Text: Slabserif Light Hours and Date: Motorola Screen Type Here is the CSS that needs to be edited * Custom CSS Please make sure your CSS rules are more particular / have higher priority then other page styles */ #events h3 { /* add your css rule here */ } #events , #events { /* add your css rule here */ } #events , #events { /* add your css rule here */ } #events .selectedEvent { /* add your css rule here */ } #events input.reserve_time_btn { /* add your css rule here */ } #events input.select_another_btn { /* add your css rule here */ }

    $22 (Avg Bid)
    $22 Avg Bid
    23 bids

    Project Title: NTT hardware implementation verilog I am looking for a freelancer who can help me with the implementation of a Radix-2 NTT hardware in Verilog. Requirements: - Strong experience in Verilog programming - Knowledge of Radix-2 NTT algorithm - Familiarity with cryptography and encryption techniques The ideal candidate should: - Have experience in FPGA or ASIC technology - Be able to suggest suitable FPGA or ASIC technology for the implementation - Understand the specific requirements of cryptography in the context of NTT implementation This project is focused on the implementation of a Radix-2 NTT hardware for the purpose of cryptography. If you have the necessary skills and experience, please submit your proposal.

    $176 (Avg Bid)
    $176 Avg Bid
    5 bids

    I am looking for a freelancer who can assist me with the RTL implementation for my digital circuit design project. Requirements: - Experience in digital circuit design and RTL implementation - Familiarity with Verilog programming language - Ability to work with limited guidance and rough design ideas Skills and Experience: - Proficiency in Verilog programming language - Strong knowledge of digital circuit design and RTL implementation - Ability to interpret and work with rough design ideas - Attention to detail and ability to problem-solve If you have the skills and experience required for this project, please submit your proposal.

    $77 (Avg Bid)
    $77 Avg Bid
    13 bids

    I am looking for an experienced Verilog coder to help me design a simple digital circuit. I have a rough idea of what I want the circuit to do, but I am open to suggestions and input from the freelancer. The ideal candidate should have experience in designing digital circuits using Verilog and be able to work with a simple level of complexity.

    $89 (Avg Bid)
    $89 Avg Bid
    12 bids

    Description: Create a Hardware-Software Codesign version of the k-mean clustering algorithm K-means clustering is a popular data mining algorithm that partitions n samples into k clusters (note: the k-nearest neighbor classifier algorithm used in machine learning can leverage the cluster centers produced by the k-means clustering algori...algorithms have been developed that quickly converge to a local optimum solution. We will consider one of those algorithms in this project. I have provided a C code version of the k-means clustering algorithm, and a Vivado block diagram and memory layout (explained below) that you will use as a starting point. You will need to study the C version and then decide which components to implement as a VHDL module using the BRAM (you also used BRAM in HI...

    $30 - $250
    Sealed NDA
    $30 - $250
    3 bids

    ...Gmail's email service provider settings and configuration - Ability to analyze and diagnose authentication errors - Attention to detail to identify and fix any configuration errors Please note that I have not made any recent changes to my email settings or configuration, so the issue may be unrelated to any recent updates. This is what I get from Google: host [2404:6800:4003:c1a::1b] said: 550-5.7.26 This mail has been blocked because the sender is unauthenticated. 550-5.7.26 Gmail requires all senders to authenticate with either SPF or DKIM. 550-5.7.26 550-5.7.26 Authentication results: 550-5.7.26 DKIM = did not pass 550-5.7.26 SPF [] with ip: [2a06:2ec0:1::ffeb] = did not pass 550-5.7.26 550-5.7.26 To mitigate this issue,

    $31 (Avg Bid)
    $31 Avg Bid
    19 bids

    I am looking for a Verilog programmer who can assist me with designing circuits. Although I have a rough idea of the type of circuit I want, I am open to suggestions and creative input. The ideal candidate should have experience in Verilog programming and be able to design circuits efficiently and accurately. This project does not have a specific timeframe mentioned.

    $30 (Avg Bid)
    $30 Avg Bid
    11 bids

    I am looking for someone who is good with verilog and system veriliog who can do the following : The idea of this application is to equifill rectangles in individual rows based on comparing strip heights and program heights. The design should use a decoder. for example : if you have row of height strip 8, it is checking 9, and 10 so it will compare 8 and 9 and then it will compare the minimum of 8 and 9 with 10. A table on page 2 of the attached document explains these comparisons with further examples. But, each time the program should only perform 3 comparisons. The program needs to use 8 clock cycles strictly. It needs to be a design that can be optimized. It needs to fit in the top level module (M216A_TopModule (2).v) that I have attached and it needs to work for all cases in...

    $553 (Avg Bid)
    $553 Avg Bid
    10 bids

    Revise and edit content of a 6800-word article.

    $300 (Avg Bid)
    $300 Avg Bid
    1 bids

    need to implement neural networks in vhdl. More details will be shared in discussions

    $67 (Avg Bid)
    $67 Avg Bid
    10 bids