verylog or VHDL expert needed asap

Closed Posted 3 years ago Paid on delivery
Closed Paid on delivery

verylog or VHDL expert needed asap

Verilog / VHDL Engineering Electrical Engineering Electronics Matlab and Mathematica

Project ID: #27259216

About the project

24 proposals Remote project Active 3 years ago

24 freelancers are bidding on average €360 for this job

ahmedmohamed85

Dear sir I have more than 10 years experience in digital design using vhdl and verilog please check my profile also please message me so that we can discuss Best regards

€250 EUR in 1 day
(449 Reviews)
7.9
raulbehl

Hello! I am an experienced Verilog developer with over 5 years of professional development experience. Hope you’d reach out to me.

€250 EUR in 7 days
(86 Reviews)
6.2
thasleemkamila

I have well experienced in doing such kind of jobs....................................................................................

€250 EUR in 3 days
(54 Reviews)
5.8
iquicksolution

Hey there I'm professional electrical engineer I'm expert in verilog and VHDL I'll be waiting to hear back from you

€250 EUR in 1 day
(56 Reviews)
5.7
Lightcanon

Hello, I am digital design engineer and Verilog/VHDL expert of + 5 years experience as well. May you message me to discuss more about your requirements?

€250 EUR in 1 day
(39 Reviews)
5.1
Ahmed8033

Hi, I am electronics engineer and has a very good understanding of Digital Electronics. I have developed many projects in Verilog and can help you in your task. Feel free to inbox me the details of your task. Thanks.

€500 EUR in 7 days
(22 Reviews)
4.8
nycer847

Hello Dear, I see that you need VERILOG Expert. Well, I am an expert in simulations in MATLAB, VHDL, VERILOG and SIMULINK in many fields including Electronics, Communication, Control systems, Signal Processing and Mat More

€556 EUR in 15 days
(11 Reviews)
5.4
LoganathanN

Hello team. We have seen your requirement is that you need implement some algorithm in VHDL. I can do this job effectively if you have the algorithm with you. We are industrial experts, so we can do this effectively. More

€250 EUR in 7 days
(12 Reviews)
4.1
jasnaikaran

Hello, I am an RTL design engineer having experience working on xilinx fpga for more than 5 years. I have experience of board bringup, comstraints management, debugging...

€250 EUR in 3 days
(15 Reviews)
4.1
Zaimitech2

Hi there, I have gone through the job Description, I understand your job task and would love to do this, I am Lab Engineer in a university I work on different Electrical projects so I am confident in your job Lookin More

€250 EUR in 7 days
(5 Reviews)
3.5
moaazkh96

hi, I am a senior digital design engineer, I have a wide knowledge in digital design in ASIC and FPGA using both VHDL and Verilog. I will provide you a professional report about your project with citation and scientifi More

€256 EUR in 7 days
(10 Reviews)
3.0
shykhAbubakar

Hi Sir, I have gone through your description in which you need to do Verilog code. I am highly interested to work on this project. I will complete the project within the estimated time period. I am expert in this imple More

€250 EUR in 2 days
(3 Reviews)
2.9
cesur135

HELLO I M ELECTRONIC  ENGINEER I HAVE FINISHED MANY PROJECTS I KNOW FPGA,VHDL VERYWELL LET ME DISCUSS MORE

€389 EUR in 7 days
(4 Reviews)
2.7
juanpabloiigo

Dear Mr/Ms, I am an electronic engineer with more than 5 years of experience. I have an advanced knowledge on VHDL programming over Xilinx and Altera FPGA. Check my skills. Hope we can work together. Regards, Ju More

€500 EUR in 2 days
(4 Reviews)
2.3
vinod00k

Ready to start the work for your as VHDL , we can discuss more over chat , thanks regards kanta singh.

€300 EUR in 7 days
(0 Reviews)
0.0
mahmoudralizadeh

Hi there Just as a short introduction, we have a team of experienced Machine Learning and Deep Learning Experts who would be glad to help you shape your requirements into products. They have built many machine learning More

€600 EUR in 3 days
(0 Reviews)
0.0
Adithyatek

Hello, glad to bid on your project. I have read your description, I'm an expert on VLSI_Design with VHDL , Verilog , Spice , system Verilog. Xilinx , Cadence , Mentar Graphics and Spice and also I'm an expert on More

€500 EUR in 7 days
(0 Reviews)
0.0
SolutionWhiz

Hi, I can assist you with verylog or VHDL. I am interested in assisting you with this task. I am an Electrical Engineer by profession with over 6 years work experience, and I have in possession a master’s degree in th More

€250 EUR in 1 day
(0 Reviews)
0.0
dsaridhan

I have a good knowledge on digital design concepts with RTL design I am having 5 years experience in the hardware description languages. I have good hands on experience for FPGA based verilog/vhdl implementation.

€778 EUR in 3 days
(0 Reviews)
0.0
aqibnasim

Hi, I have working experience with Verilog and have developed products on Vivado, SPARTEN FPGA. I would like you to review below my profile: I am a professional developer with more than 8 years of experience in embedd More

€250 EUR in 7 days
(0 Reviews)
0.0