Find Jobs
Hire Freelancers

ALU Design using Verilog

$10-30 USD

Completed
Posted over 8 years ago

$10-30 USD

Paid on delivery
Arithmetic Logic Unit You must implement the ALU to support ADD, Subtract, AND, OR, XOR, NOR, Set on greater than, Shift Left, Shift Right, and Branch equal operations. The specific operation that the ALU performs is specified by the 4-bit “alu_control” bus. Table 1 specifies the bit encoding for each operation. ** There will be supporting files ** ** Estimate is 3 hour work ** ** Must be done by 2300 EST Tonight **
Project ID: 8772456

About the project

1 proposal
Remote project
Active 8 yrs ago

Looking to make some money?

Benefits of bidding on Freelancer

Set your budget and timeframe
Get paid for your work
Outline your proposal
It's free to sign up and bid on jobs
Awarded to:
User Avatar
I can do this task for you.I can do this task for you.I can do this task for you.I can do this task for you.I can do this task for you.
$80 USD in 1 day
5.0 (24 reviews)
5.0
5.0

About the client

Flag of UNITED STATES
Ls, United States
5.0
8
Payment method verified
Member since Apr 20, 2015

Client Verification

Thanks! We’ve emailed you a link to claim your free credit.
Something went wrong while sending your email. Please try again.
Registered Users Total Jobs Posted
Freelancer ® is a registered Trademark of Freelancer Technology Pty Limited (ACN 142 189 759)
Copyright © 2024 Freelancer Technology Pty Limited (ACN 142 189 759)
Loading preview
Permission granted for Geolocation.
Your login session has expired and you have been logged out. Please log in again.