digital alarm clock using VHDL

Closed Posted Dec 11, 2014 Paid on delivery
Closed Paid on delivery

time need to show on the LCD and stop watch need to shows on 7-segments display. my fpga board is DE2 development and education boards.

FPGA Verilog / VHDL

Project ID: #6851684

About the project

11 proposals Remote project Active Jan 17, 2015

11 freelancers are bidding on average $77 for this job

ahmedmohamed85

Dear sir I already have the DE2 board and i know how to make your project pefectly, I will give you the design and i will help you to run it on your board, I am waiting your message Best Regards

$30 SGD in 0 days
(122 Reviews)
6.9
loi09dt1

I have had more than 3 years experiences on FPGA Design using Verilog and VHDL: - FPGA's Xilinx and Altera. - MicroBlaze, Embedded system design on FPGA of Xilinx. - FPGA, VLSI Implementation of DSP System( Matlab o More

$44 SGD in 1 day
(23 Reviews)
4.8
PrinceJion

A proposal has not yet been provided

$36 SGD in 3 days
(2 Reviews)
2.1
hkpatel13

A proposal has not yet been provided

$111 SGD in 15 days
(0 Reviews)
0.0
sheimy

A proposal has not yet been provided

$46 SGD in 15 days
(0 Reviews)
0.0
rameshsundar82

i already have this kind of project if u assign to me i can finish with in short time.. and high quality of work is assured.. no copy paste from internet

$222 SGD in 3 days
(0 Reviews)
0.0
RAJCDAC

A proposal has not yet been provided

$72 SGD in 7 days
(0 Reviews)
0.0
Andrianov

Project will consist of two modules: 1) The time controller, which will consist of a clock counter of the clock generator, and which will be the output value of the real time. 2) A display unit that displays a real More

$46 SGD in 3 days
(0 Reviews)
0.0
Elecguru011

A proposal has not yet been provided

$88 SGD in 3 days
(0 Reviews)
0.0