Project verilog jobs

Filter

My recent searches
Filter by:
Budget
to
to
to
Type
Skills
Languages
    Job State
    2,000 project verilog jobs found, pricing in USD

    I'm seeking a skilled FPGA developer to construct an intermediate-level chessAI project. The AI is expected to run real-time on a Spartan-7 FPGA board, using Vivado and Vitis. Key Project Details: - **Real-time Performance:** The AI should be optimised for real-time operation on the FPGA board. - **Intermediate Complexity:** The chessAI should be capable of intermediate-level game play, providing engaging and challenging performance. - **FPGA Model:** The project is designed for a Spartan-7 FPGA board, hence prior experience with this model is preferable. Key Skill Requirements: - Proficiency in FPGA development, particularly with Vivado and Vitis. - Prior experience in designing chessAI or comparable AI projects. - Expertise in optimising AI models for real-tim...

    $166 (Avg Bid)
    $166 Avg Bid
    7 bids

    DEADLINE 21st I need an Object Detection(displays text on screen of object name) & Live Streaming system(records video when switch or button pressed), all to be implemented on a Zybo Z7 board with a pcam 5c camera module. Here are the details: - **Programming Language**: The system needs to be developed using verilog and xlinx tools. - **Standalone or Integrated**: I'm looking for the Object Detection & Live Streaming system to be integrated with zyboz7 and pcam5c. - **Functionality**: The system should perform real-time object detection and identification, as well as record and store live streams for later analysis. Finally report that includes tests/testbenches should be included based on requirements in

    $217 (Avg Bid)
    $217 Avg Bid
    10 bids

    I'm looking for a developer to create a system for my Zybo Z7 board that can detect people in real-time through a connected pcam5c camera and display the detection text on the video feed(to be honest anything that...feed should be streamed in real-time. - Text Overlay: The detection results should be displayed as a text overlay on the video. Skills/Experience Required: - Proficient in Xilinx SDK and Xilinx Vivado. - Strong background in object detection, particularly with people. - Previous experience with video processing and streaming. - Knowledge of FPGA programming and VHDL/Verilog is a plus. Please note that my budget for this project is $60. I'm open to hearing from freelancers who can deliver within this budget. I have worked on single pixel (multipixel z...

    $60 (Avg Bid)
    $60 Avg Bid
    2 bids

    I am looking for a freelancer to help me with a project that involves evaluating image quality with implementing machine learning algorithms on an FPGA. VIVADO would be preferred to work on. I am seeking a detailed project proposal from freelancers. with Verilog coding Ideal skills/experience: VERILOG VIVADO

    $40 (Avg Bid)
    $40 Avg Bid
    6 bids

    My project requires the efficient application of Gaussian filtering in Verilog specifically for enhancing image details. The image type for this task is RGB, and the intended result should lead to clear, detailed images showcasing the potential of Gaussian filters. Key requirements include: - Applying Gaussian filtering to provide image enhancement - Working specifically with RGB images - Delivery of processed images in JPEG format Given the technical nature of this project, proficiency in Verilog and image processing is crucial. A deep understanding of Gaussian filtering algorithms is also necessary. Experience with image manipulation software would be a bonus. This project is ideal for freelancers who are detail-oriented and are adept at transforming...

    $85 (Avg Bid)
    $85 Avg Bid
    4 bids

    Our project requires an undefined NTT implementation. The exact scope and purpose of this project have not been defined yet, which opens up a wide range of possibilities and different areas of expertise we might need from potential freelancers. It's an exciting opportunity for innovative contributors with skills and experience in: • Network optimization • Improved connectivity • Cost reduction • Increased bandwidth • Enhanced security • Improved scalability As the specifics of the implementation are yet to be discussed, we are particularly interested in freelancers who show a strong, broad understanding of NTT implementations and are capable of adapting and contributing valuable consultancy to the project no matter which direction i...

    $89 (Avg Bid)
    $89 Avg Bid
    13 bids

    I'm seeking an experienced and detail-oriented developer to create a Custome PCILeech firmware for SCREAMER PCIE SQUIRREL direct access memory card utilizing the 7 Series FPGA 35t chip. Firmware must emulate a real PCIe dev...bypass and avoid anti-cheat detection on EAC/BE etc. Responsibilities: - Develop firmware for PCILeech FPGA - Debugging and problem-solving throughout firmware development Skills & Experience: - Strong experience in FPGA programming and firmware development - Excellent debugging and problem-solving skills - Experience with high-speed data transmission - Proficiency with VHDL/Verilog languages The timeline for project completion is flexible, indicating a strong emphasis on quality over speed. However, I am eager to commence with the right cand...

    $544 (Avg Bid)
    $544 Avg Bid
    29 bids

    For this project, I need a skilled Verilog programmer with FPGA implementation experience. The key task is to encode a 4x4 binary (black and white) image into an 8x8 image using least significant bit replacement. Key Responsibilities: - Implementing a least significant bit replacement algorithm. - Delivering clean and efficient Verilog code. - Ensuring compatibility with FPGA hardware. Required Skills and Experience: - Proficiency in Verilog code - Understanding of LSB replacement - Experience with FPGA implementation - Working knowledge of image processing, specifically with binary images.

    $14 (Avg Bid)
    $14 Avg Bid
    5 bids

    I'm seeking highly skilled Verilog programmers with a solid understanding of Moore state machines and Structural models. In this project, you will design a moore coffee machine with verilog on vivado Key responsibilities: - Create a Verilog project according to the tasks mentioned in the document. Everyt task must work correctly. Skills and experience required: - Proficient in Verilog programming. - Previous experience with Moore FSMs. - Knowledge in working with input/output functions in Verilog. - Deep understanding and practice with structural models. Experience in creating similar designs will be a significant advantage. This is an excellent opportunity for a programmer expert in creating practical Verilog designs with...

    $22 (Avg Bid)
    $22 Avg Bid
    6 bids

    I am looking for a skilled Verilog coder with experience in advanced digital circuit design and implementation. Tasks will involve designing and implementing complex circuits, specifically those involving CPUs or intricate state machines. Key Responsibilities: - Design and implement advanced digital circuits - Test and debug created designs - Maintain documentation of design process and circuit function Skills & Experience: - Expertise in Verilog coding - Experience with complex digital circuit design and implementation - Familiarity with CPUs and complex state machines - Proficiency in using Xilinx Vivado for running Verilog simulations Please ensure you have this experience before placing a bid on this project.

    $131 (Avg Bid)
    $131 Avg Bid
    8 bids

    Completing an intermediate-level circuit simulation is on the top of my agenda, and time is of the essence. Key Requirements: - Generate a simulation circuit using either Verilog or VHDL. - The complexity level should be intermediate, meaning that it should include components such as adders, decoders, and multiplexers. Ideal Candidate: An experienced freelancer with a strong background in circuitry and simulation languages such as Verilog or VHDL. Quick response and comprehension of task requirements are paramount due to the urgency of the project. Remember, the successful completion of this project is deemed urgent. Therefore, a prompt response and start are appreciated.

    $92 (Avg Bid)
    $92 Avg Bid
    22 bids

    ...an individual skilled in Verilog who can help me achieve a specific task. - Task: Your main responsibility would be writing Verilog code for a simple module implementation. This does not involve complex system level code designs or CPU architecture. - Objective: The primary objective of the module is to model a specific digital logic circuit. The project does not require interaction with other modules or utilization of specific hardware components. The ideal candidate would possess: - Solid experience in Verilog coding, - Expertise in digital circuits, - Strong understanding of digital logic circuits, - A meticulous approach to ensure accuracy in modeling the required digital logic circuit. If you are passionate about Verilog and love challenges,...

    $108 (Avg Bid)
    $108 Avg Bid
    13 bids

    ...achieving a specific task. I already have a code for core RISC-V in system Verilog and need help running it in my Linux based virtual machine. The final objective includes enabling a basic load counter and comprehending the code thoroughly. need to create a presentation for my Verilog project. For the first task, I need to count the number of instructions entering the pipeline after a mispredicted branch, which is important for security. I need to run a test code to measure performance and count the flushed instructions. Can you help with this in Verilog? We can work together on it and complete the presentation.? To achieve the listed tasks, the freelancer should possess: - Expert knowledge and experience in working with Verilog, - Proficiency in ...

    $11 / hr (Avg Bid)
    $11 / hr Avg Bid
    6 bids

    In this project we will be implementing a control system using the Lattice iCE FPGA. The task at hand involves converting a schematic for a Synchronous Data Link Control (SDLC) data stream to an SPI Master data stream converter to Verilog or VHDL and then verifying the design through simulation. And finally creating the file that will be used to program the target part in production. The ideal freelancer for this job is proficient in working with FPGAs, preferably with a strong background in the Lattice iCE FPGA. I’m looking for someone adept in schematic to HDL conversion. Experience in working with SDLC data will serve as a plus. Please ensure that your experience and skills include: - FPGA development, specifically with the Lattice iCE. - Expertise in schematic ...

    $52 / hr (Avg Bid)
    $52 / hr Avg Bid
    7 bids

    I'm currently in search of an expert in electronics, Specifically FPGA's and Verilog who can assist in creating a 32 channel logic analyzer. The analyzer's primary role will be to facilitate the debugging of digital circuits, analyzing serial communications, and examining micro-controller signals and digital protocols. Key Responsibilities: - Design and create an analyzer able to decipher multiple digital protocols including CAN, SPI, I2C, UART, RS422, RS485, i2C 1-Wire, and SIM devices. - Equip the analyzer with a unique functionality to understand and interpret data in custom HEX, Bianry and ASCII formats. Ideal Experience and Skills: - Proficient in digital protocols and encoding. - Extensive experience in electronics, especially in creating and debugging logic ...

    $583 (Avg Bid)
    $583 Avg Bid
    32 bids

    Its about vintage videogames I have a project that make emulation of cartridges. Each original Cartridge have circuits with ASIC customs called " mappers ". Theses mappers circuits are not available for sale, because was made in 90 years. Some hackers rebuild functionality of Asics throught verilog, and implemented in a single cartdridge with ALL mappers inside. In Github are The opensource project , and ALL mappers writed in verilog are available separated. My Idea is take one a one mapper and generate a output file and burn in individual hardware , like Xillinks XC9572 or XC95144 because The original project use hard weight hardware,like a

    $521 (Avg Bid)
    $521 Avg Bid
    12 bids

    Hi, I need someone really experienced in FPGA, DSP, and specifically IFF signlas. I need to create an algorithim to detecet different modes of IFF signals and classify them. then display the data of the signals. I will aslo need someone to finalize the verilog code, help me with testing, debugging of my project, it will be a long time agreement not just a task to be done. I am hoping to collaborate with a diligent engineer who accurately interprets given instructions and is capable of delivering quality work. I am looking forward to your proposals.

    $601 (Avg Bid)
    $601 Avg Bid
    14 bids

    I need someone proficient in FPGA programming and matrix manipulations to develop a solution that involves multiplying two-dimensional matrices on PYNQ Boards. The project's objectives include: • Computing proc...develop a solution that involves multiplying two-dimensional matrices on PYNQ Boards. The project's objectives include: • Computing processes for small-sized matrices • Ability to handle medium-sized matrices • Building the necessary verilog code and TB to facilitate and optimize the matrix multiplication on the PYNQ boards Here are the critical skills and experience needed for the job: • Familiarity with PYNQ FPGA programming • Experience working with PYNQ Boards • Deep understanding of matrix operations • Proficient...

    $39 (Avg Bid)
    $39 Avg Bid
    2 bids

    Bundle of 4 entry level Logic Design outputs --->Verilog , 4-bit wide 2:1 MUX ,Mealy machine , and a little more

    $131 (Avg Bid)
    $131 Avg Bid
    25 bids

    As an FPGA expert, my project demands crucial implementation and testing of FPGA-based systems, along with adept troubleshooting and debugging of FPGA designs. Primary Tasks I wanna create a loop on my board to send data from pins and receive the data on other pins to test my code, I wanna make sure the data is transferred and received properly coz its serial data. if the data received equal to certain vaule ( the value i serialized before sending ) then LED is on, or use a method to display the received data. I am also forwarding 2 clocks that i need to receive at the same frequency they were set in the input. Critical Skills - Proficiency in Verilog - Prevailing experience with Xilinx FPGAs Ideal freelancers will possess substantial experience using Verilog for F...

    $57 (Avg Bid)
    $57 Avg Bid
    7 bids

    ...Defining the specifications and performance targets for the graphics card, considering factors such as core count, memory bandwidth, and power efficiency. Designing and modeling the GPU architecture using computer-aided design (CAD) software, incorporating advanced features for rendering, compute, and artificial intelligence. Implementing the design using hardware description languages (HDLs) such as Verilog or VHDL, and simulating the functionality using specialized tools. Conducting rigorous testing, validation, and optimization to ensure the graphics card meets performance, reliability, and compatibility standards. Iterating on the design based on feedback, performance analysis, and emerging technologies. **Power Efficiency:** - My priority is an energy-saving design. The cha...

    $56405 (Avg Bid)
    $56405 Avg Bid
    9 bids

    I am embarking on an ambitious project targeting high-speed FPGA applications, specifically focusing on Digital Signal Processing (DSP) and video processing capabilities. My aim is to harness the power of Xilinx FPGAs to develop a complex hardware solution that can handle advanced processing tasks efficiently. **Core Requirements:** - Proficiency in Xilinx Vivado HLS for designing, synthesizing, and implementing highly optimized hardware solutions. - Experience with FPGA programming, particularly with Xilinx devices, as the platform of choice for this project. - Familiarity with high-speed interface protocols and their integration into FPGA designs. **Ideal Skills and Experience:** - Strong background in electrical engineering or computer science, with a focus on hardware d...

    $15 / hr (Avg Bid)
    $15 / hr Avg Bid
    9 bids

    I am seeking a talented programmer to develop an encryption algorithm using Verilog language, which will be implemented using FPGA in Xilinx. Key Requirements: - Comprehensive understanding of Verilog programming and encryption algorithms - Extensive experience in FPGA implementation - Proficiency in Xilinx The ideal candidate should be capable of creating an efficient and secure encryption system from scratch. Your algorithm will be tested for security, efficiency, and performance during Evaluation. Please include examples of relevant previous work in your bid. Thank you.

    $123 (Avg Bid)
    $123 Avg Bid
    4 bids

    ...seeking a highly skilled freelancer with expertise in Verilog for an advanced system design and optimization project targeting FPGA (Field-Programmable Gate Array). This project demands someone who can navigate complex Verilog coding with ease and contribute to significant system improvements. **Core Requirements**: - Profound knowledge in Verilog coding, specifically for FPGA platforms. - Experience in advanced system design and optimization. - Capability to work through complex modules and processes to deliver optimized solutions. **Ideal Skills and Experience**: - Strong background in FPGA-based design and development. - Proficient in writing, analyzing, and optimizing Verilog code. - Familiarity with debugging and testing Verilog co...

    $86 (Avg Bid)
    $86 Avg Bid
    4 bids

    I'm seeking a talented freelancer with expertise in FPGA development to assist in implementing high-level synthesis (HLS) algorithms on the Vitis platform. I have a solid background in HLS but need guidance with Vitis integration. Requirements: • Experience with Vitis platform and HLS technology • Proficiency in Verilog programming • Past work with image, data encryption, or signal processing algorithms. Ideal Skills: • FPGA design and development • HLS algorithm optimization for performance • Familiarity with Xilinx development tools • Ability to write clean, maintainable code Goals: • To effectively integrate HLS algorithms into a Vitis workflow • To optimize algorithm performance on an FPGA architecture I look forward to colla...

    $325 (Avg Bid)
    $325 Avg Bid
    3 bids

    I require an experienced freelancer conversant in Verilog and familiar with Vivado tools to help expedite my digital circuit project. Efficiency and expertise are paramount to meet my project milestones. Key Tasks: - Synthesize and implement Verilog code - Optimize digital circuit designs using Vivado Skills Needed: - Proficient in Verilog - Proficient with Xilinx Vivado Suite - Strong in circuit synthesis and implementation - Ability to write clean, maintainable code - Experience with digital circuit design and simulation - Solid understanding of FPGA workflows Ideal Experience: - Previous successful FPGA projects - Proven track record with Vivado IDE - Strong debugging skills If you are a detail-oriented problem solver with the skills mentioned abov...

    $92 (Avg Bid)
    $92 Avg Bid
    9 bids

    ...skilled Verilog engineer to design and implement an AES encryption and decryption system. This project has been initiated with the purpose of ensuring data security and as such, the successful applicant must be experienced in the field of cryptography. Proficiency in Verilog and a clear knowledge of encryption methods, particularly AES, are crucial for the success of this project. In your application, kindly provide: - Details of your past experiences related to Verilog - Any relevant project proposals you've worked on, showcasing your proficiency in developing AES systems. The deadline for completion of the project will be within one month. Please keep this timeframe in mind as you consider your application and potential schedu...

    $391 (Avg Bid)
    $391 Avg Bid
    8 bids

    ...Ensure system operates with integer value precision. - Target design is for an FPGA using Verilog or VHDL. **Ideal Skills** - Proficiency in FPGA programming. - Strong background in digital arithmetic circuits. - Experience with kogge stone adders and vedic multipliers. - Knowledge of image processing techniques. - Use of Shifting , multiplication and addition in performing 2d convolution - Fluent in Verilog or VHDL coding. - Ability to optimize for power, area, and speed. **Project Deliverables** - Optimized HDL code for the convolution system. - Synthesis and simulation results demonstrating performance. - Documentation outlining design choices and testing procedures. Freelancers interested in this project should have a proven track record with similar o...

    $232 (Avg Bid)
    $232 Avg Bid
    2 bids

    I'm seeking a proficient Verilog developer to implement a 2D convolution for the following image processing applications: edge detection and object recognition. • Core Requirements: - An extensive understanding of 2D Convolution and its application in edge detection and object recognition - Strong proficiency in Verilog programming language • Device specifications: - The 2D convolution algorithm needs to be coded specifically for an ASIC/FPGA device. Prior experience with designing for this platform is essential. This project demands precision, an in-depth understanding of image processing and excellent problem-solving skills. A proficiency in Verilog can significantly boost the effectiveness of the development process. Hence, expertis...

    $18 - $151
    $18 - $151
    0 bids

    ...an experienced Verilog developer with expertise in digital circuit design, creating testbenches, and debugging existing Verilog code. While I didn't specify any particular tasks, I encourage you to utilize your problem-solving skills to lead this project's technical aspects. To apply for this project, please include: 1. Past Work: Include examples of your previous projects, focusing on your ability to create and implement successful digital circuit designs. 2. Verilog Code Samples: Although I am interested in all aspects of your past work, I would particularly like to see examples of your work on testbench designs. Your ability to create effective and efficient testbenches will be crucial to this project. 3. Experience: Your track record a...

    $13 (Avg Bid)
    $13 Avg Bid
    5 bids

    For my project, I am looking for a proficient Verilog programmer to simulate the behavior of a mixed circuit. This is a hub project . there will be 4 layer of slave input . the module needs to OR the all data and forward to another level of slave.

    $421 (Avg Bid)
    $421 Avg Bid
    10 bids

    I'm looking for an expert with an in-depth understanding of digital circuits and solid experience with Verilog to help me design a digital circuit. This project aims to create a I2S input (64FS) to a 20 bit serial data output module with separate left and right output channels according to AD1862 data input specification. Key tasks include: - Design Verilog code for specific functionalities - Proactively troubleshoot and rectify any issues during the design process The ideal candidate will have: - Excellent knowledge of Verilog and its applications - Understanding and experience in designing digital circuits - Proven problem-solving skills and attention to detail Please only bid if you have the relevant skills and experience. Thanks!

    $221 (Avg Bid)
    $221 Avg Bid
    11 bids

    ...program and logic behind the project Modifcation to existing program. During hashtable creation remove/ do not add all potential private keys that follow these rules for every private key No more then 5 letters or numbers of the exact same consectutively .ie fffff, 44444, single key cant have either No more then 6 letters consectutively per key No more then 6 number consectutively per key The private keys in the hashtable cant have any of these values in them . This will drastically reduce the scan space Skills and Experience: - Advanced level of familiarity with FPGA and bitstream development - Strong understanding of FPGA architecture and programming languages - Proficiency in programming languages such as VHDL or Verilog - Experience in designing

    $880 (Avg Bid)
    $880 Avg Bid
    13 bids

    I am seeking a proficient Verilog programmer to construct a PID controller from the ground up to manage a DC motor using PWM. The controller will interface with a Sparton 6 - FPGA and a DC motor driver. The characteristics that should be managed by the PID controller are the speed and position of the motor. The ideal candidate will: - Have substantial Verilog programming experience - Be experienced with PID controller development - Have a deep familiarity with working in Xilinx ISE environment - Demonstrate a high competency in controlling motor speed and position via a PID controller Your work will be key in ensuring the optimal performance of the DC motor in its relevant application. Please only bid if you have the above-listed skills and experience and can deliver...

    $687 (Avg Bid)
    $687 Avg Bid
    12 bids

    I am looking for a professional who can devise a code in Verilog-2001 for adaptive haze removal in image processing. Requirements: - Proficiency in Verilog-2001 coding - Strong understanding of image processing algorithms - Experience with adaptive haze removal techniques - Ability to implement and optimize the code for efficient processing Please note that I have a specific image processing algorithm in mind for the haze removal and I am relying on your expertise to implement it effectively.

    $262 (Avg Bid)
    $262 Avg Bid
    4 bids

    ...current Verilog code. Here's what I need: Verilog Code Review: - The crux of the project is the design aspect of my Verilog code. I need a professional who can scrutinize the implementation, selecting the best practices and pointing out areas for improvement. Focus Areas: - The emphasis of this project is particularly on the implementation of design. I need you to ensure the functionality of the architecture and its alignment with the set objectives and tasks. Required Skills and Experience: - An ideal candidate should have extensive knowledge in Verilog programming and code review. - A strong understanding of digital design and implementation is critical. - Proficiency in evaluating the functionality of Verilog code is required...

    $10 / hr (Avg Bid)
    $10 / hr Avg Bid
    9 bids

    I have a requirement for an expert in the Mallet Algorithm to help reduce power consumption by 30% through the development of a Verilog code for an approximate multiplier. Ideal Candidate Should: - Have expertise in the Mallet Algorithm and its implementation. - Possess deep knowledge in power optimization in coding. - Be proficient in running codes on Vivado software. - Have demonstrable experience in power reduction through code optimization. The goal here is not just to write a code, it's to creatively utilize your expertise with the Mallet Algorithm in creating a power-efficient multiplier that will noticeably cut down operation costs.

    $25 (Avg Bid)
    $25 Avg Bid
    8 bids

    ...opportunity involves the creation of a complex 32-channel logic analyzer using FPGA and providing the appropriate Verilog code. - High-Speed Data Capturing: The system should be capable of clocked data capture at 200MHz or higher. - PC Utility: The project includes the production of a suited PC Utility, specifically designed for Windows. This utility should be meticulously engineered to capture real-time data, save treasured data content, and thoroughly analyze vital capture data. - Protocol Interpretation: Essential to the utility's operation is its smooth understanding of the common protocols including UART, SPI, I2C. The ideal candidate will be proficient in FPGA programming and Verilog, with a sound background in Logic Analyzer systems. In-depth prot...

    $636 (Avg Bid)
    $636 Avg Bid
    32 bids

    I am in need of a freelancer who is proficient in Verilog FPGA programming, specifically using the Lattice platform. The purpose of the FPGA programming is for signal processing. Requirements: - Proficiency in Verilog FPGA programming - Experience with ICESTUDIO - Experience of Lattice ICE40 - Deep understanding of vga framebuffers and signal processing Skills and Experience: - Strong knowledge of Verilog and FPGA programming - Previous experience with ICESTUDIO - Familiarity with signal processing algorithms and techniques If you have the necessary skills and experience, please submit your proposal.

    $197 (Avg Bid)
    $197 Avg Bid
    13 bids

    Hi. Here is the project to review some Verilog code which wont synthesize properly as discussed.

    $50 / hr (Avg Bid)
    $50 / hr Avg Bid
    1 bids

    I am looking for an expert in Verilog/Quartus II I will share the details of my task in chat

    $20 (Avg Bid)
    $20 Avg Bid
    8 bids

    Project Title: NTT hardware implementation verilog I am looking for a freelancer who can help me with the implementation of a Radix-2 NTT hardware in Verilog. Requirements: - Strong experience in Verilog programming - Knowledge of Radix-2 NTT algorithm - Familiarity with cryptography and encryption techniques The ideal candidate should: - Have experience in FPGA or ASIC technology - Be able to suggest suitable FPGA or ASIC technology for the implementation - Understand the specific requirements of cryptography in the context of NTT implementation This project is focused on the implementation of a Radix-2 NTT hardware for the purpose of cryptography. If you have the necessary skills and experience, please submit your proposal.

    $176 (Avg Bid)
    $176 Avg Bid
    5 bids

    I am looking for a freelancer who can assist me with the RTL implementation for my digital circuit design project. Requirements: - Experience in digital circuit design and RTL implementation - Familiarity with Verilog programming language - Ability to work with limited guidance and rough design ideas Skills and Experience: - Proficiency in Verilog programming language - Strong knowledge of digital circuit design and RTL implementation - Ability to interpret and work with rough design ideas - Attention to detail and ability to problem-solve If you have the skills and experience required for this project, please submit your proposal.

    $77 (Avg Bid)
    $77 Avg Bid
    13 bids

    I am looking for an experienced Verilog coder to help me design a simple digital circuit. I have a rough idea of what I want the circuit to do, but I am open to suggestions and input from the freelancer. The ideal candidate should have experience in designing digital circuits using Verilog and be able to work with a simple level of complexity.

    $89 (Avg Bid)
    $89 Avg Bid
    12 bids

    I am looking for a Verilog programmer who can assist me with designing circuits. Although I have a rough idea of the type of circuit I want, I am open to suggestions and creative input. The ideal candidate should have experience in Verilog programming and be able to design circuits efficiently and accurately. This project does not have a specific timeframe mentioned.

    $30 (Avg Bid)
    $30 Avg Bid
    11 bids

    I am looking for someone who is good with verilog and system veriliog who can do the following : The idea of this application is to equifill rectangles in individual rows based on comparing strip heights and program heights. The design should use a decoder. for example : if you have row of height strip 8, it is checking 9, and 10 so it will compare 8 and 9 and then it will compare the minimum of 8 and 9 with 10. A table on page 2 of the attached document explains these comparisons with further examples. But, each time the program should only perform 3 comparisons. The program needs to use 8 clock cycles strictly. It needs to be a design that can be optimized. It needs to fit in the top level module (M216A_TopModule (2).v) that I have attached and it needs to work for all cases in...

    $553 (Avg Bid)
    $553 Avg Bid
    10 bids

    using Artix 7 implement Master UFS protocol design for the UFS Host device, Feel free to contact who's have experince on Stroage's(emmc, ufs, nand e.t.c) Skills required : Verilog , VHDL , C

    $33333 (Avg Bid)
    $33333 Avg Bid
    15 bids

    I am looking for a freelance developer to help me with a project involving writing to a LCD 16x2 display on a basys 1 FPGA with an i2c interface (PCF8574A). I would like the programmer to use Verilog, but I don't have experience with FPGA programming and I am open to suggestions for content and/or functionality for the display. If you have experience with FPGA programming and think you are a good fit for this project, I'd love to hear from you!

    $15 / hr (Avg Bid)
    $15 / hr Avg Bid
    16 bids

    I am looking for someone who can provide me with an FPGA project in Verilog within a day, which should include the use of peripherals. Here are the details: Specific Peripherals: - UART - SPI - I2C Requirements and Constraints: - No specific requirements or constraints for the FPGA project Target Application: - Any application, such as data processing, signal processing, or control systems Ideal Skills and Experience: - Proficiency in Verilog and FPGA development - Experience with integrating peripherals into FPGA projects - Knowledge of UART, SPI, and I2C protocols If you have a Verilog FPGA project that includes the use of peripherals, please reach out to me. Thank you!

    $53 (Avg Bid)
    $53 Avg Bid
    11 bids